Module type Num_bits.S

val num_bits : int